QuartusII中四选一选择器波形仿真问题

2019-07-15 22:21发布

我照书本写了一个4选1选择器的Verilog程序,进行波形仿真时,出现的波形和理论上的不一致,这是什么原因?A1A0分别为00,01,10,11时,Y对应输出应该是D0,D1,D2,D3的波形,但结果是00,11段对了,01和10段又错误。哪位大神可以帮忙指点迷津,兄弟感激不尽!

Verilog程序                         波形

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。