QuartusII中四选一选择器波形仿真问题

2019-07-15 22:21发布

我照书本写了一个4选1选择器的Verilog程序,进行波形仿真时,出现的波形和理论上的不一致,这是什么原因?A1A0分别为00,01,10,11时,Y对应输出应该是D0,D1,D2,D3的波形,但结果是00,11段对了,01和10段又错误。哪位大神可以帮忙指点迷津,兄弟感激不尽!

Verilog程序                         波形

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
杜若儿
1楼-- · 2019-07-16 03:51
你仔细看看你的A0和A1是不是写反了,然后01对应的是D2,10对应的D1 最佳答案
for-everything
2楼-- · 2019-07-16 06:37
不懂,刷个分...
小白报道
3楼-- · 2019-07-16 09:14
没有错误,A1A0=01时Y=D1,A1A0=10时Y=D2
codemonkey
4楼-- · 2019-07-16 11:54
 精彩回答 2  元偷偷看……
黄亚男2019
5楼-- · 2019-07-16 15:23
只是你的wave 窗口中的A1  放在下面了,你可以把它移上面去,
咫尺天涯001
6楼-- · 2019-07-16 20:22
此问题以解决,多谢各位相助!

一周热门 更多>