多次采样求平均

2020-01-22 12:17发布

使用51内核的SOC单片机V9401,使用库函数读取电流有效值,送显示,有1%的跳差,想多次采样求平均,提高稳定度,现做想1秒读取一次,读3次然后做个平均,但是程序有点问题,显示不正常了,原来读取直接送显示,正常的while(1)
        {               
                CLRWDT();       
                ReadMeterPara(0x10d9); //秒平均电流有效值
                temp1=u32PMdatal;
                                                if(time_1s)
                                                {
                                                         time_1s=0;
                                                         ReadMeterPara(0x10d9);         
                                                         value_buf[0] = u32PMdatal;
                                                         if(time_2s)
                                                         {
                                                                 time_2s=0;
                                                                ReadMeterPara(0x10d9);
                                                                value_buf[1] = u32PMdatal;
                                                                if(time_3s)
                                                                {
                                                                        time_3s=0;
                                                                        ReadMeterPara(0x10d9);
                                                                        value_buf[2] = u32PMdatal;
                                                                        temp1=(value_buf[0]+value_buf[1]+value_buf[2])/3;
                                                                }
                                                         }
                                                 }       
                        dislay_jisuan();
                if(Time_FLAG)
                {
                        Time_FLAG=0;                       
                    display();                                                                       
             }
        }                       
}


void Interrupt_Timer0 (void) interrupt 1 using 2
{

    TF0=0;
        Time_FLAG=1;
        TL0 =0x33;      //1ms
    TH0 =0xF3;
                coun++;
        if(coun==150)
        {
                coun=0;
                time_1s=1;
                if(coun==150)
                {
                        coun=0;
                        time_2s=1;
                        if(coun==150)
                        {
                                coun=0;
                                time_3s=1;
                        }
                }
        }
}
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
35条回答
tam2907
1楼-- · 2020-01-22 15:54
 精彩回答 2  元偷偷看……
三国小兵
2楼-- · 2020-01-22 16:20
那应该如何操作呢,我想1秒读取一次秒平均
czg1411
3楼-- · 2020-01-22 21:18
这个没2s 3s的事
三国小兵
4楼-- · 2020-01-23 03:07
czg1411 发表于 2014-8-23 09:24
这个没2s 3s的事

间隔1秒读取一次的
三国小兵
5楼-- · 2020-01-23 03:54
tam2907 发表于 2014-8-23 09:20
你是一个小兵。你这time_1s 2s 3s逻辑很是有问题。没你这样用有,如果time_1s是一秒,那么你数据temp1就是1 ...

那应该如何操作呢,我想间隔1秒读取一次秒平均
czg1411
6楼-- · 2020-01-23 06:19
 精彩回答 2  元偷偷看……

一周热门 更多>