大家帮我看下这个简单的VHDL的程序吧

2019-07-16 02:15发布

1.jpg
我是初学的,大家帮忙看看吧,谢谢了。
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENtiTY ca2 IS
          PORT (clk : IN std_logic;
               Q1,Q2,Q3,Q4: OUT std_logic);
END ca2;
ARCHITECTURE behavioral OF ca2 IS
     COMPONENT dff
     PORT (
         d,clk :IN STD_LOGIC;
         q:OUT STD_LOGIC );
     END COMPONENT;
     SIGNAL data :STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN   
     dffn_1: dff PORT MAP (data(0),clk,data(4));
     dffn_2: dff PORT MAP (data(1),clk,data(5));
     dffn_3: dff PORT MAP (data(2),clk,data(6));
     dffn_4: dff PORT MAP (data(3),clk,data(7));
     data(0) <= data(4) xor data(5);
     data(1) <= data(4) xor data(6);
     data(2) <= data(5) xor data(6) xor data(7);
     data(3) <= data(6);
     Q1 <= data(4);
     Q2 <= data(5);
     Q3 <= data(6);
     Q4 <= data(7);
END behavioral;


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
4条回答
ideabean
2019-07-16 09:08
不知楼主的设计需要实现什么功能,但从楼主的代码来看,
楼主的问题可能是寄存器或线网被综合器给优化掉了。
如果是线网在SIGNAL data :STD_LOGIC_VECTOR (7 DOWNTO 0);后加上下面这两句:
     attribute keep : boolean;
     attribute keep of data : signal is true ;
如果楼主是想生成8位的寄存器,代码里只做了四位的dff调用,肯定是不会生成8位的。
同时为了防止综合器优化掉寄存器可以加上下面两句:
    attribute preserve : boolean;
    attribute preserve of data : signal is true ;

一周热门 更多>