求助!!!编译,下载都可以,但程序就是不运行,连顶层

2020-02-17 19:58发布

大家好,我写了一段CPLD程序(EPM240T100I5N),编译,下载都可以,但程序就是不运行,连顶层模块里置个灯都不亮,想了一晚上百思不得其解
程序附件和原理图我已上传
顶层模块功能有两个:1.将需要的电机圈位数据通过串口上传到电脑;2.通过计数器采集电机编码器脉冲,并通过比较器与目标圈位做比较
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
10条回答
jlrhw
2020-02-18 14:44
我刚才将程序改成了异步复位

    always @ (posedge Clkin,negedge Resetb)
        if(!Resetb)
            begin
            DataIN<=16'd0;
                //StartM<=0;
                //CodeOUT<=16'd0;
                //ROUT<=0;
                //POUT<=0;
                //YOUT<=3'd0;
                LED1<=0;
                LED2<=0;
                LED3<=0;
                LED4<=0;
                LED5<=0;
                LED6<=0;
                SETCode<=16'd0;
                MRun<=0;
                Cnt1<=2'b0;
                end
            else
            begin
            SETCode<=16'd30000;
            case(Cnt1)
            2'b00:
                begin
                if(!StartM)
                  begin
                  Cnt1<=2'b01;
                  end
                else
                  begin
                  Cnt1<=2'b0;
                  end
                 end
            2'b01:
                                begin
                MRun<=1;
                if(YOUT==3'b001)
                  begin
                  Cnt1<=2'b10;
                  end
                else
                  begin
                  Cnt1<=2'b01;
                  end
                end
            2'b10:
                begin
                MRun<=0;
                Cnt1<=2'b0;
                end
          endcase
          end
又用万用表测试了一下复位信号,在按下复位开关时,电平由3.29V变为0。01V,复位信号应该是有了,可是板子还是没反应,CPLD好任性啊

一周热门 更多>