求助!!!编译,下载都可以,但程序就是不运行,连顶层

2020-02-17 19:58发布

大家好,我写了一段CPLD程序(EPM240T100I5N),编译,下载都可以,但程序就是不运行,连顶层模块里置个灯都不亮,想了一晚上百思不得其解
程序附件和原理图我已上传
顶层模块功能有两个:1.将需要的电机圈位数据通过串口上传到电脑;2.通过计数器采集电机编码器脉冲,并通过比较器与目标圈位做比较
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。