使用quartus II 编译出错 一直不懂为什么 求助!!!

2019-03-25 07:29发布

我用quartus II 9.0编写的几乎所有程序都会出现这样一条Warning: Feature LogicLock is not available with your current license。
一直不明白到底哪里出错   什么是特 {MOD}logiclock 啊  我也没有使用过这个logiclock啊  及时最简单的二分频都会出现这种warning  是哪里的问题?? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。